ПРОВЕДЕННЯ ДІАГНОСТИЧНИХ ЕКСПЕРИМЕНТІВ У КЕРУЮЧИХ АВТОМАТАХ З ВИКОРИСТАННЯМ СИНХРОНІЗУЮЧИХ ПОСЛІДОВНОСТЕЙ

Авторы

  • Олександр Сергійович Шкіль канд. техн. наук, доцент кафедри АПОТ ХНУРЕ., Ukraine
  • Марина Анатоліївна Мірошник д-р техн. наук, проф. кафедри спеціалізованих комп'ютерних систем Українського державного університету залізничного транспорту., Ukraine
  • Тетяна Григорівна Рожнова канд. техн. наук, ст. викладач кафедри АПОТ ХНУРЕ., Ukraine
  • Юрій Васильович Пахомов асистент кафедри експлуатації газових і теплових систем Харквского національного університету міського господарства ім.О.М.Бекетова., Ukraine
  • Дмитро Григорович Караман ст. викладач кафедри Автоматики та управління в технічних системах НТУ "ХПІ».,

DOI:

https://doi.org/10.30837/1563-0064.3.2018.162786

Ключевые слова:

синхронізуючі послідовсності, логічне управління, граф переходів, керуючий автомат

Аннотация

Розглядаються питання підвищення надійності функціонування систем логічного управління в системах газопостачання. Наводиться алгоритм роботи автоматичної газорозподільної станції, граф переходів керуючого автомата та його модель на мові опису апаратури. Для діагностування керуючого автомату пропонується проводити «неруйнівний» діагностичний експеримент з обходу всіх вершин (дуг) графа переходів автомата з використанням синхронізуючих послідовностей. Наводиться приклад побудови синхронізуючої послідовності та діагностичний експеримент з її використанням. Моделювання VHDL-моделі при проведенні діагностичного експерименту здійснювалося в середовищі Active-HDL.

Библиографические ссылки

Shkil' A.S. Diagnostirovaniye HDL-modeley mikroprogrammnykh avtomatov / A.S. Shkil', E.N. Kulak, A.S. Serokurova // ASU i pribory avtomatiki.–2015. Vyp. 172. С. 22-31.

Miroshnyk M.A. Model of automated hardware diagnostics of remote energy systems management points / M. A. Miroshnyk, Y. V. Pakhomov. // Light engineering and electric power. International scientific and technical journal. 2017. No3. С. 3–9.

Nubaryan S.M. Avtomatizatsiya sistem teplogazosnabzheniya i ventilyatsii: Kratkiy kurs lektsiy / S.M. Nubaryan. Khar'kov: KHNAGKH, 2007. 147 с.

Baranov S.I. Sintez mikroprogrammnykh avtomatov (graf-skhemy i avtomaty) / S.I. Baranov. L.: Energiya, 1979. 232 с.

Miroshnik M.A. Obnaruzheniye oshibok proyektirovaniya v HDL-modelyakh konechnykh avtomatov s ispol'zovaniyem sinkhroniziruyushchikh posledovatel'nostey. / A.S. Shkil', M.A. Miroshnik, E.N. Kulak, A.S. Grebenyuk, D.Ye. Kucherenko // Radioelektronika i // Radioelektronika i informatika.

No3 (74). С. 39-46.

Опубликован

2018-06-27

Выпуск

Раздел

Статті